CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

การส่งข้อมูลแบบอนุกรม RS232

ผมใช้บอร์ดทดลอง CPLD รุ่น POWER MAXII - EX1 ทำกราทดลองส่งข้อมูลแบบอนุกรม โดยทำกราหารความถี่จาก 24MHzลงมkเป็น 9600Hz แต่ปรากฏว่าไม่สามารถส่งข้อมูลได้เนื่องจากความถี่ไม่ตรงกัน จะทำยังไงดีครับ

library ieee;
use ieee.std_logic_1164.all;

entity Div24m_9600 is
port(
Clk_in : in std_logic;
Clk_out : out std_logic
);
end Div24m_9600;

architecture rtl of Div24m_9600 is
begin
process(Clk_in)
variable Clk_temp : std_logic := '0';
variable count : integer range 0 to 1249 := 0;
begin
if Clk_in'Event and Clk_in = '1' then
if count < 1249 then
count := count + 1;
Clk_temp := Clk_temp;
else
count := 0;
Clk_temp := not(Clk_temp);
end if;
Clk_out <= Clk_temp;
end if;
end process;
end rtl;

จากคุณ : wut ตั้งกระทู้นี้เมื่อ 22:15 [21/02/2008]

ความคิดเห็นที่1

ลองเปลี่ยนไปใช้ OSC 9.6MHz ดูนะครับ น่าจะหารได้ลงตัวมากกว่าครับ

จากคุณ : support@astronlogic.com 11:50 [22/02/2008]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป