CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ช่วยดูให้ผมด้วยครับ ทำไมไม่ผ่าน

library ieee ;
use ieee.std_logic_1164.all ;
entity count30 is
port (
mclk : in std_logic;
en : in std_logic;
clr_in : in std_logic;
clr_out : out std_logic
);
END count30;
architecture RTL of count30 is
constant base1sec : integer := 1000000;--base 1*1M*2/XTAL = 1/5 sec = 0.2 sec
constant base30m : integer := (30*120);--30*120*0.2 sec = 720 sec = 12 min
signal count1sec : integer range 0 to base1sec;
signal count30m : integer range 0 to base30m;
signal b1s : std_logic;
signal b30m : std_logic;
begin
process(mclk,clr_in,en)--1SEC
begin
if (clr_in = '0') then
count1sec <= 0;
b1s <= '0';
else
if ((en = '1') and rising_edge(mclk)) then
if (count1sec < base1sec) then
count1sec <= count1sec + 1;
else
count1sec <= 0;
if (b1s = '1') then
b1s <= '0';
else
b1s <= '1';
end if;
end if;
end if;
end if;
end process;
process(b1s,clr_in,en)--1SEC
begin
if (clr_in = '0') then
count30m <= 0;
b30m <= '1';
else
if ((en = '1') and rising_edge(b1s)) then
if (count30m < base30m) then
count30m <= count30m + 1;
b30m <= '1';
else
count30m <= 0;
b30m <= '0';
end if;
end if;
end if;
end process;
clr_out <= b30m;
end RTL;


ของผมจะขึ้น error ที่ตรง
if ((en = '1') and rising_edge(mclk)) then

ว่า
unsupported feature error:signal parameter in a subprogram is not supported

ผมใช้โปรแกรม Max+plusII คอมไพล์นะครับ

ขอบคุณครับ

จากคุณ : งงงวย ตั้งกระทู้นี้เมื่อ 09:18 [15/02/2008]

ความคิดเห็นที่1

โค้ดนี้มีการใช้ฟังก์ชัน rising_edge() ซึ่ง MAX+PLUSII ยังไม่รองรับครับ ซึ่งถ้าใช้ QuartusII จะสามารถคอมไพล์ผ่านได้ครับ

จากคุณ : support@astronlogic.com 00:24 [16/02/2008]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป