CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

รบกวนช่วยดู Code นี้ให้หน่อยนะครับ มัน Error

library ieee;
use ieee.std_logic_1164.ALL;

entity ex2digit is
port ( indata : in integer range 0 to 99;
outd1 : out integer range 0 to 9;
outd2 : out integer range 0 to 9
);
end ex2digit;

architecture rtl of ex2digit is
begin
process(indata)
begin
outd1 <= indata mod 10;
outd2 <= indata rem 10;
end process;
end rtl;

จากคุณ : Nopphol ตั้งกระทู้นี้เมื่อ 11:58 [23/08/2006]

ความคิดเห็นที่1

แก้ตรง บรรทัดที่ใช้คำสั่ง rem กะ mod นะคับ เพราะตัวดำเนิดการต้องอยู่ในรูปของ 2 ยกกำลัง n คับ ลองเปลียนจาก 10 เป็น 8 ดูนะคับรับรอง run ได้แน่ๆๆ

จากคุณ : REI 17:22 [23/08/2006]

ความคิดเห็นที่2

synthesize ได้นี่
ไม่เห็นผิดอะไรเลย

จากคุณ : be 11:21 [24/08/2006]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป