CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ช่วยบอกวิธีหารความถี่ของบอร์ดCLPD A-01 ให้เหลือ 1 วินาที หน่อยครับ

พอดีผมต้องการความถี่ 1 วิ เพื่อจะทำวงจรนาฬิกาครับ หรือใครมีวงจรนาฬิกาเลยยิ่งดี
songkod_046@yahoo.com

จากคุณ : kod ตั้งกระทู้นี้เมื่อ 14:05 [07/08/2006]

ความคิดเห็นที่1

ก็ใช้วงจร mod10 มาต่อกันเพื่อหาร 1M ให้หรือ 1 Hz ก็ ได้คับ หรือเขียน VHDL หาร ล้านเลยก็ ได้ นะ คับ

จากคุณ : rei 21:02 [07/08/2006]

ความคิดเห็นที่2

ใช้วงจร mod 10 มาต่อดีกว่านะครับเพราะถ้าใช้ VHDL จะงงมากถ้าไม่เข้าใจโปรแกรม

จากคุณ : wit 16:43 [18/08/2006]

ความคิดเห็นที่3

ใช้ ic 555 เพื่อสร้างCLK ก็ได้คับ

จากคุณ : Arm 13:07 [19/08/2008]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป