CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

คำสั่ง For

ช่วยยกตัวอย่างที่ใช้คำสั่ง for ให้ดูหน่อยดิ

จากคุณ : กก ตั้งกระทู้นี้เมื่อ 00:33 [16/01/2002]

ความคิดเห็นที่1

จะขอยกตัวอย่างการทำ 4 bit 1's Complement โดยการใช้คำสั่ง For ให้ดูนะครับ

library ieee;
use
ieee.std_logic_1164.all;

entity for_ex is
port (in1 :
in std_logic_vector (3 downto 0);
out1 : out std_logic_vector
(3 downto 0));
end for_ex;

architecture for_ex_a of
for_ex is
begin
process (in1)
begin

-- For Loop
for0 : for i in 0 to 3 loop
out1
(i) <= not in1(i);
end loop;

end
process;
end for_ex_a;

จากคุณ : support@astronlogic.com 10:24 [16/01/2002]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป