CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ปัญหา error จากการ complile ครับ

ผมใช้บอร์ด A01 ที่มีเกทประมาณ 10000 เกทครับ แล้วทีนี้ผมเขียนโปรแกรม แล้วมัน complile ไม่ผ่าน โดยฟ้องว่า No fit found, Project require too many (821/576) logic cell. แสดงว่า เกทมันไม่พอ หรือครับ แล้วเราสามารถเช็คได้ป่าวครับว่า เราใช้ไปเท่าไหร่แล้ว คือสิ่งที่ผมเขียนมันเป็นชุดบวกลบข้อมูลแบบ 24 บิต น่ะครับ มี input 4 ตัว ตัวละ 24 บิต(มาจาก วงจรclk ที่สร้างขึ้น 4 ชุด) แล้วเอามาลบกันแค่นั้นเองครับ output มี 3 ชุดๆละ 24 บิตเหมือนกัน จากนั้นเอามาเข้า mux เพื่อต้องการดูผลแต่ละชุดครับ ซึ่งผมคิดว่า I/O มันใช้แค่ out 24 บิตแค่นั้นเอง เลยงงว่าทำไมวงจรแคนี้เกทมันไม่พอครับ ยังไงช่วยตอบด้วยนะครับ

จากคุณ : aekz ตั้งกระทู้นี้เมื่อ 23:27 [04/09/2003]

ความคิดเห็นที่1

ไม่น่าใช่เกทไม่พอ นะ ตั้ง 10k
ดูเกทได้จาก file.rpt นะ
จะบอกว่าใช้กี่เกท

จากคุณ : mk 11:07 [05/09/2003]

ความคิดเห็นที่2

Assign deivce ยังครับ ?

จากคุณ : F 12:17 [05/09/2003]

ความคิดเห็นที่3

ครับ assign แล้ว Flex 10k >EPF10K10LC84-4 ครับ

จากคุณ : aekz 12:54 [05/09/2003]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป