CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ช่วยเฉลยหน่อยครับ

ออกแบบวงจรนับ
1. วงจรนับ modulo 15 แบบ Async Counter
2. วรจรนับ modulo 10 แบบ Sync Counter

จากคุณ : saint ตั้งกระทู้นี้เมื่อ 11:21 [22/07/2003]

ความคิดเห็นที่1

library ieee;
use ieee.std_logic_1164.all;
entity async is
port(clk : in std_logci;
countout : out integer range 0 to 15;
end async;
architecture RTL of async is
begin
process(clk)
variable count : integer range 0 to 15;
begin
if clk'EVENT and clk='0' then
countout<=count;
count:=count+1;
end if;
end process;
end RTL;


จากคุณ : noname 18:27 [28/07/2003]

ความคิดเห็นที่2

ส่วนsync นั้นใช้state diagram in
design แล้วค่อยใช้ vhdl

จากคุณ : naname 18:33 [28/07/2003]

ความคิดเห็นที่3


modulo 15 counter คือ นับ
0,1,2,3,4,5,6,7,8,9,10,11,12,13,14
ไม่ถึง 15

modulo 10 counter คือ นับ
0,1,2,3,4,5,6,7,8,9


จากคุณ : :) 17:52 [30/07/2003]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป