CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

เกี่ยวกับโปรแกรม

คือผมลองเอาไปทำแล้ว error ครับ
library ieee;
use ieee.std_logic_1164.all;
entity div_clk is
port(
clk_in : in std_logic;
div : in integer range 0 to 255;
clk_out : out std_logic
);
end div_clk;
architecture rtl of div_clk is
begin
process(clk_in)
variable count : integer range 0 to 255 := 0;
variable clk_t : std_logic := '0';
variable a : integer :=0;
begin
a := 255/div;
if clk_in'event and clk_in = '1' then
if count < a then
count := count + 1;
clk_t := clk_t;
else
count := 0;
clk_t := not(clk_t);
end if;
clk_out <= clk_t;
end if;
end process;
end rtl;

จากคุณ : aa ตั้งกระทู้นี้เมื่อ 14:59 [14/03/2003]

ความคิดเห็นที่1

ผมเข้าใจว่าคุณกำลังดัดแปลงวงจรหารความถี่อยู่ใช่ไหมครับ ที่นี้คุณต้องการดัดแปลงให้เป็นวงจรอะไรครับ

จากคุณ : support@astronlogic.com 15:35 [14/03/2003]

ความคิดเห็นที่2

ตัวที่เป็นตัวหารจะต้องอยู่ในรูปของ 2 ยกกำลังได้นะครับ

เช่น 255/2 หรือ 255/4 หรือ 255/8 หรือ 255/16 หรือ 255/32

สังเกตุนะครับว่าตัวหารจะเท่ากับ 2 ยกกำลัง อะไรสักอย่าง

จากคุณ : support@astronlogic.com 15:49 [14/03/2003]

ความคิดเห็นที่3

คือผมกำลังทำวงจรหารอยู่ครับ โดยมีอินพุตเข้ามา 8 บิต
ถ้ามีค่า 50 ต้องการความถี่ 360 hz
" 25 "---------------" 720 "
" 100 " ------------" 180"
ทำไม่ได้ส้กที ช่วยตอบหน่อยนะครับ

จากคุณ : aa 07:53 [15/03/2003]

ความคิดเห็นที่4

เขียนผิดครับ
ถ้า=50 ต้องการหารด้วย 360
= 25 " " 720
=100 " " 180


จากคุณ : aa 08:20 [15/03/2003]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป