CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ยกตัวอย่าง

ช่วยยกตัวอย่างการเขียนโปรแกรมหารความถี่ตามที่เราต้องการหน่อยครับ เช่น ต้องการ 100 Hz ขอบคัณครับ

จากคุณ : pai ตั้งกระทู้นี้เมื่อ 21:52 [23/02/2003]

ความคิดเห็นที่1

ความถี่ input ที่เข้ามามีค่าเท่าไหร่ล่ะครับ

จากคุณ : support@astronlogic.com 11:51 [24/02/2003]

ความคิดเห็นที่2

10 MHz

จากคุณ : pai 06:03 [26/02/2003]

ความคิดเห็นที่3

เป็นวงจรหาร 100,000 นะครับ

library ieee;
use ieee.std_logic_1164.all;

entity div100k is
port(
clk : in std_logic;
clk_out : out std_logic
);
end div100k;

architecture rtl of div100k is
begin
process(clk)
variable count : integer range 0 to 49999 := 0;
variable t_clk : std_logic := '0';
begin
if clk'event and clk='1' then
if count < 49999 then
count := count + 1;
t_clk := t_clk;
else
count := 0;
t_clk := not(t_clk);
end if;
clk_out <= t_clk;
end if;
end process;
end rtl;

จากคุณ : support@astronlogic.com 10:08 [26/02/2003]

ความคิดเห็นที่4

ขอบคุณมากครับ

จากคุณ : pai 17:59 [26/02/2003]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป