CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

bidirectional

ไม่ทราบว่าการเขียนbidirectionalในVHDLไม่ทราบว่าเขียนอย่างไร

จากคุณ : บอล ตั้งกระทู้นี้เมื่อ 17:10 [20/05/2002]

ความคิดเห็นที่1

ตัวอย่าง Tri-state bus implementation
---------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

ENTITY bidir IS
PORT(
bidir : INOUT STD_LOGIC_VECTOR (7 DOWNTO 0);
oe, clk : IN STD_LOGIC;
inp : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
outp : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
END bidir;

ARCHITECTURE maxpld OF bidir IS
SIGNAL a : STD_LOGIC_VECTOR (7 DOWNTO 0); -- DFF that stores
-- value from input.
SIGNAL b : STD_LOGIC_VECTOR (7 DOWNTO 0); -- DFF that stores
BEGIN -- feedback value.
PROCESS(clk)
BEGIN
IF clk = '1' AND clk'EVENT THEN -- Creates the flipflops
a <= inp;
outp <= b;
END IF;
END PROCESS;
PROCESS (oe, bidir) -- Behavioral representation
BEGIN -- of tri-states.
IF( oe = '0') THEN
bidir <= "ZZZZZZZZ";
b <= bidir;
ELSE
bidir <= a;
b <= bidir;
END IF;
END PROCESS;
END maxpld;




จากคุณ : support@astronlogic.com 10:42 [21/05/2002]

ความคิดเห็นที่2

วิธีอื่นมีอีกไหมครับ

จากคุณ : อัด 04:51 [22/05/2002]

ความคิดเห็นที่3

วิธีอื่นก็มีครับ คือต้องใช้วิธีการเขียน Schematics เอานะครับ โดยเรียกใช้ Module Library ที่ให้มากับโปรแกรม MAX+plus II ครับตัว Module จะชื่อ lpm_bustri
ครับ

จากคุณ : support@astronlogic.com 22:57 [22/05/2002]

ความคิดเห็นที่4

ขอบคุณมากครับ

จากคุณ : บอล 00:04 [23/05/2002]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป