Web Analytics
CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM | Cart 0
การออกแบบเครื่องวัดอุณหภูมิด้วย FPGA ตอนที่ 2

» การออกแบบเครื่องวัดอุณหภูมิด้วย FPGA ตอนที่ 2

รับส่งข้อมูลแบบอนุกรมกับคอมพิวเตอร์ด้วยอุปกรณ์ FPGA เพื่อนำไปพล๊อตกราฟแสดงผลได้

จากตอนที่แล้วเราได้ทำการออกแบบเครื่องวัดอุณหภูมิด้วย FPGA ในส่วนของการติดต่อสั่งงานตัววัดอุณหภูมิและแสดงค่าอุณหภูมิไปแล้ว สำหรับในตอนที่ 2 นี้จะกล่าวถึงการออกแบบ FPGA เพื่อใช้สำหรับสื่อสารข้อมูลแบบอนุกรมกับคอมพิวเตอร์ และวิธีการโปรแกรมลงบนชิป FPGA
การสื่อสารข้อมูลกับคอมพิวเตอร์นั้นโดยทั่วไปจะมีด้วยกัน 2 วิธี นั่นคือการสื่อสารข้อมูลแบบขนานและการสื่อสารข้อมูลแบบอนุกรม ซึ่งการสื่อสารข้อมูลแต่ละแบบจะมีข้อดีและข้อเสียแตกต่างกันไป นั่นคือ การสื่อสารข้อมูลแบบขนานสามารถรับส่งข้อมูลด้วยความเร็วสูง โดยจะส่งข้อมูลพร้อมกันครั้งละหลายๆ บิต ดังนั้นจำนวนสายสัญญาณที่ใช้สำหรับข้อมูลจะต้องมีหลายเส้นเท่ากับจำนวนบิตที่ส่ง อีกทั้งยังมีสายสัญญาณที่ใช้ควบคุมการรับส่งข้อมูลอีกด้วย แต่ในการรับส่งข้อมูลแบบอนุกรมนั้นจะทำการรับส่งข้อมูลเพียงครั้งละ 1 บิตเท่านั้น ถ้าข้อมูลที่ใช้มีจำนวนบิตเพิ่มขึ้นก็ไม่จำเป็นต้องเพิ่มจำนวนสายสัญญาณแต่อย่างใด สำหรับข้อดีอีกอย่างหนึ่งของการสื่อสารข้อมูลแบบอนุกรมคือ สามารถรับส่งข้อมูลในระยะทางที่ไกลกว่าแบบขนานได้ แต่ความเร็วในการสื่อสารจะต่ำกว่าแบบขนานเนื่องจากการรับ-ส่งข้อมูลแบบอนุกรมในแต่ละครั้งจะต้องรับ-ส่งทีละ 1 บิต วนซ้ำไปจนครบตามความกว้างของข้อมูล

การสื่อสารข้อมูลแบบอะซิงโครนัส
การสื่อสารข้อมูลแบบอะซิงโครนัสคือ การรับและส่งข้อมูลไปในสายโดยไม่จำเป็นต้องมีสายสัญญาณนาฬิการ่วมด้วย ซึ่งแตกต่างกับการสื่อสารข้อมูลแบบซิงโครนัสที่ต้องใช้สายสัญญาณนาฬิกาในการรับและส่งสัญญาณเพิ่มขึ้นมาอีกหนึ่งเส้น สำหรับการสื่อสารข้อมูลแบบอะซิงโครนัสนั้นจะใช้การกำหนดค่าสัญญาณนาฬิกาทั้งภาครับและภาคส่งให้มีค่าเท่ากัน ซึ่งเรียกสัญญาณนาฬิกาที่ใช้ในการกำหนดค่าให้ทั้งภาครับและภาคส่งว่า อัตราการถ่ายทอดข้อมูล หรือ บอดเรต(baudrate) ซึ่งมีหน่วยเป็นบิตต่อวินาที (Bit Per Second : bps)
จากรูปที่ 1 เป็นรูปแบบของข้อมูลที่ใช้ในการสื่อสารอนุกรมแบบอะซิงโครนัส ซึ่งมีส่วนประกอบอยู่ด้วยกัน 4 ส่วนคือ
1. บิตเริ่มต้น (Start Bit) จะมีขนาด 1 บิต
2. บิตข้อมูลแบบอนุกรมจะมีขนาด 5,6,7 หรือ 8 บิต
3. บิตตรวจสอบพาริตี้ (Parity Bit) จะมีขนาด 1 บิตหรือไม่มี
4. บิตปิดท้าย (Stop Bit) จะมีขนาด 1,1.5 หรือ 2 บิต


รูปที่1 รูปแบบของข้อมูลที่ใช้ในการรับส่งแบบอะซิงโครนัส

ซึ่งหลักการทำงานของไดอะแกรมในรูปที่ 1 สามารถอธิบายได้คือขณะที่ไม่มีข้อมูลส่งมานั้นขา Data จะมีสถานะลอจิก “1” (High) ซึ่งจะเรียกสถานะนี้ว่าสถานะหยุดรอ (Waiting Stage) การเริ่มต้นส่งข้อมูลจะเริ่มจากการให้ขา Data มีลอจิก “0” (Low) ด้วยช่วงขนาด 1 บิตเราเรียกบิตนี้ว่าบิตเริ่มต้น (Start Bit) จากนั้นบิตข้อมูลจะถูกส่งออกไป โดยเริ่มส่งจากบิต LSB ก่อนจนถึงบิต MSB จากนั้นจะตามด้วยบิตพาริตี้ (Parity Bit) ซึ่งใช้เพื่อตรวจสอบความผิดพลาดที่เกิดขึ้นจากการส่งข้อมูล บิตสุดท้ายที่จะส่งคือ Stop Bit ซึ่งจะให้ขา Data มีสถานะลอจิก “1” (High) อีกครั้งเพื่อทำให้ยืนยันสถานะหยุดรอ (Waiting Stage) ด้วยขนาด 1 บิต และเป็นการแสดงว่าสิ้นสุดข้อมูล

มาตรฐานพอร์ตอนุกรมแบบ RS-232
มาตรฐานการเชื่อมต่อแบบอนุกรม RS-232 เป็นมาตรฐานที่ออกแบบมาเพื่อใช้ในการส่งข้อมูลอนุกรมแบบอะซิงโครนัส 2 ทิศทาง ในการนำเอามาตรฐาน RS-232 ไปประยุกต์ใช้งาน จะถูกใช้งานในการส่งข้อมูลระหว่างคอมพิวเตอร์โดยเชื่อมต่อกับโมเด็มดังรูปที่ 2
มาตรฐาน RS-232 ได้กำหนดรูปแบบของอุปกรณ์เชื่อมต่อข้อมูล (Data Terminal Equipment : DTE) กับวงจรข้อมูลปลายทาง (Data Circuit Terminating : DCE) ไว้ว่า อุปกรณ์ DTE จะต้องเป็นอุปกรณ์ที่มีการประมวลผลในตัวเช่น ไมโครคอนโทรลเลอร์ซึ่งมีความสามารถในการสร้างบิตข้อมูลแบบอนุกรมได้ ส่วนอุปกรณ์ DCE จะทำหน้าที่เป็นเพียงตัวรับข้อมูลที่ส่งมาจาก DTE เท่านั้น โดยการรับส่งข้อมูลระหว่างอุปกรณ์ทั้งสองจะกระทำผ่านมาตรฐาน RS-232
ข้อแตกต่างของอุปกรณ์ DTE และอุปกรณ์ DCE อย่างหนึ่งที่เห็นได้ชัดคือ คอนเน็กเตอร์ของ DTE จะเป็นตัวผู้ ส่วนคอนเน็กเตอร์ของ DCE จะเป็นตัวเมีย ซึ่งพอร์ตอนุกรมของคอมพิวเตอร์ที่ใช้กันอยู่ทั่วไปจะเป็นแบบ DTE ส่วนคอนเน็กเตอร์ที่อยู่ที่โมเด็มจะเป็นแบบ DCE

 

รูปที่ 2 การส่งข้อมูลผ่านมาตรฐาน RS-232

รูปที่ 3 คอนเน็กเตอร์ แบบ DB-9
รูปที่4 การต่ออุปกรณ์ภายนอกแบบ RS-232 โดยใช้สายสัญญาณเพียง 3 เส้น
  • ข้อมูลที่อ่านได้เก็บไว้ในรีจิสเตอร์บัฟเฟอร์
  • Signal Ground : GND ขากราวด์ของระบบ

รูปแบบการรับส่งข้อมูล (Protocol and Data Format)
การติดต่อสื่อสารระหว่างคอมพิวเตอร์จำเป็นต้องมีรูปแบบการรับส่งข้อมูลกันเพื่อให้รู้ว่าข้อมูลที่ส่งไปนั้นเป็นชนิดใด สำหรับข้อมูลที่ใช้ในโครงงานนี้จะมีอยู่ 2 ส่วน คือ Protocol และ Data ซึ่ง Protocol จะเป็นส่วนที่ใช้บอกว่าเป็นคำสั่งอะไร เช่น คำสั่งการขออ่านข้อมูล คำสั่งหยุดอ่านข้อมูล คำสั่งส่งข้อมูล เป็นต้น ทั้งนี้เพื่อให้ฝ่ายรับข้อมูลได้รับรู้ว่ากำลังทำอะไรอยู่ และสำหรับส่วนของ Data จะเป็นส่วนข้อมูลที่ส่งไปตามชนิดของข้อมูลที่ได้ระบุไว้แล้วใน Protocol สำหรับรูปแบบการรับส่งข้อมูลที่ใช้ในโครงงานนี้จะเป็นไปดังรูปที่ 5 ซึ่งเริ่มจากคอมพิวเตอร์จะต้องส่งชุดคำสั่ง Read มาบอกให้บอร์ดรับรู้ก่อน ซึ่งบอร์ดวัดอุณหภูมิจะทำการแปลคำสั่งที่ได้แล้วเริ่มส่งข้อมูลตอบกลับไป โดยข้อมูลที่ส่งนั้นมี 2 แบบคือ SDL แทนชนิดข้อมูลที่ต่ำกว่า 64 องศา และ SDH แทนชนิดข้อมูลที่สูงกว่า หรือเท่ากับ 64 องศา ทั้งนี้เพื่อเป็นการแก้ปัญหาในการอ่านข้อมูลจากบัพเฟอร์ที่เป็นรหัสแอสกี้บนคอมพิวเตอร์ที่มีขอบเขตของตัวเลขจำกัด คือ 0 ถึง 255

รูปที่ 5 รูปแบบวิธีการสื่อสารที่ใช้

ตารางที่ 1 แสดงการจัดขาของคอนเน็กเตอร์พอร์ตอนุกรมตามมาตรฐาน RS-232 แบบ DB-9

คอนเน็กเตอร์ DB-9
ชื่อของสายสัญญาณ
ชนิดสายสัญญาณ
1 Data Carrier Detect : DCD
อินพุต
2 Received Data : RxD
อินพุต
3 Transmitted Data : TxD
เอาต์พุต
4 Data Terminal Ready : DTR
เอาต์พุต
5 Signal Ground : GND
-
6 Data Set Ready : DSR
อินพุต
7 Request To Send : RTS
เอาต์พุต
8 Clear To Send : CTS
อินพุต
9 Ring Indicator : RI
อินพุต

การออกแบบโปรแกรมสื่อสารข้อมูลแบบอนุกรม
รูปแบบการทำงานของโปรแกรมสื่อสารข้อมูลแบบอนุกรมกับคอมพิวเตอร์แสดงดังรูปที่ 6 คอมพิวเตอร์จะทำการส่งข้อมูลมาถามเพื่ออ่านข้อมูลอุณหภูมิ โดยข้อมูลที่คอมพิวเตอร์ส่งมานั้นจะผ่านส่วน Reception ก่อน เพื่อแปลงข้อมูลที่รับเข้ามาแบบอนุกรมให้เป็นข้อมูลแบบขนาน จากนั้นจึงนำข้อมูลที่ได้นั้นส่งไปยังส่วน Detection ให้ตรวจจับ Protocol แล้วแปลคำสั่งออกมาเพื่อส่งไปยังควบคุมส่วน Protocol and Data Format ซึ่งใช้จัดรูปแบบการส่งข้อมูล จากนั้นข้อมูลที่ต้องการส่งจะถูกแปลงให้เป็นข้อมูลแบบอนุกรมอีกครั้งหนึ่งด้วยส่วน Transmission RDX Module เป็นส่วนที่ทำหน้าที่ตรวจจับข้อมูลอนุกรมแบบอะซิงโครนัสแล้วทำการแปลงข้อมูลอนุกรมที่รับเข้ามาให้เป็นข้อมูลแบบขนานขนาด 8 บิต จากรูปที่ 7 ส่วนอินพุทประกอบด้วย baud_r ใช้รับสัญญาณนาฬิกาที่มีความถี่

 

รูปที่ 6 แสดงรูปแบบวิธีการสื่อสารที่ใช้

รูปที่ 7 code ของโมดูล RDX

 

รูปที่ 8 code ของโมดูล Check_command

 

รูปที่ 9 code ของโมดูล Control_Data

 

รูปที่ 10 code ของโมดูล TDX_Data
  • ตรงกันกับคอมพิวเตอร์ที่อัตราการรับส่งข้อมูล 9600 บิตต่อวินาที สัญญาณ rxd เป็นส่วนที่ใช้รับข้อมูลแบบอนุกรมขนาด 1 บิต สำหรับสัญญาณเอาท์พุท dst[7..0] จะเป็นข้อมูลแบบขนานขนาด 8 บิต
    จากรูปที่ 7 การเขียนโปรแกรมจะแบ่งการทำงานออกเป็น 3 ส่วนคือ การหาบิตเริ่มต้น (Start bit) ที่มีสถานะเป็นลอจิก ‘0’ หลังจากนั้นจะเซ็ตค่าตัวแปรที่ชื่อ detect เป็น ‘1’ เพื่อให้เข้าเงื่อนไขในส่วนการรับข้อมูล ซึ่งจะทำการวนลูปรับค่าข้อมูลจนกระทั่งครบตามจำนวนบิต และทำการตรวจสอบบิตหยุด (Stop bit) โดยถ้าสถานะไม่ใช่ลอจิก ‘1’ ก็จะไม่ส่งข้อมูลที่รับมานั้นออกไป
  • Check Command Data Module เป็นส่วนที่ทำหน้าที่ตรวจจับและแปลคำสั่งของ Protocol เพื่อควบคุมการจัดส่งข้อมูล จากรูปที่ 8 ส่วนอินพุท d_rxd เป็นข้อมูลขนาด 8 บิต และมีสัญญาณเอาท์พุท en_lp ทำหน้าที่เป็นสัญญาณควบคุมในส่วนถัดไป ซึ่งในโปรแกรมที่ใช้ในโครงงานนี้จะใช้ค่า 82 ฐาน 10 หรือ 52 ฐาน 16 เป็นรหัสที่ตั้งขึ้นมาสำหรับการรับคำสั่งจากคอมพิวเตอร์
  • Control_Data Module เป็นส่วนที่จัดและสร้างรูปแบบการส่งข้อมูลที่จะใช้ส่งให้กับคอมพิวเตอร์ จากรูปที่ 9 ทางเข้าของข้อมูลประกอบด้วย temp_real[7..0] เป็นข้อมูลอุณหภูมิที่ต้องการส่ง loop_data เป็นสัญญาณที่ใช้ควบคุมช่วงการส่งชุดข้อมูล 1 ชุด และ en_lp เป็นสัญญาณเริ่มการส่งข้อมูลที่ได้จากการตรวจจับข้อมูลจากคอมพิวเตอร์ หลังจากนั้นจะทำการจัดลำดับของข้อมูลแล้วทำการส่งออกไป

รูปที่ 11 การต่อโมดูลใน Communication

รูปทึ่ 12. ผล Simulate ของ Communication

    จากโปรแกรมเมื่อผ่านเงื่อนไข Lopp_data และ En_lp แล้วจะทำการตรวจสอบข้อมูลที่ต้องการส่งว่ามีค่ามากกว่า 127 หรือ 63 องศาหรือไม่ เนื่องจากโปรแกรมการรับข้อมูลบนคอมพิวเตอร์นั้นไม่สามารถรับรหัสแอสกี้ได้เกิน 127 จึงต้องทำการส่งค่าแยกออกเป็น 2 ส่วน หลังจากนั้นจะเป็นส่วนของการจัดลำดับการส่งข้อมูล โดยลำดับแรกจะส่งส่วนที่เป็นคำส่งไปก่อน (Protocol) แล้วจึงค่อยส่งข้อมูลออกไปทีหลัง

  • TDX Module ใช้ส่งข้อมูล โดยทำการแปลงข้อมูลแบบขนานกลับเป็นข้อมูลแบบอนุกรม จากรูปที่ 10 เมื่อสัญญาณ En มีสถานะลอจิกเป็น ‘0’ แล้วโปรแกรมจะเริ่มส่งบิตเริ่มต้น (Start Bit) ซึ่งมีลอจิก ‘0’ ออกไปโดยใช้เวลาเท่ากับ 1 ไซเคิล แล้วตามด้วยบิตของข้อมูลอีก 8 บิต จากนั้นจึงเซ็ตค่าบิตสุดท้ายเป็นลอจิก ‘1’ อีกครั้งเมื่อสร้างโมดูลต่างๆ เป็นที่เรียบร้อยแล้วให้นำมาต่อเข้าด้วยกันดังรูปที่ 11 และตั้งชื่อไฟล์เป็น Communication.gdf พร้อมทั้งทำการ สร้างเป็นโมดูลด้วยการคลิกไปที่ Files -> Create Default Symbol และทำการ Simulate โดยการเซ็ตค่าต่างๆ สมมติค่าข้อมูลที่ส่งมาจากคอมพิวเตอร์เป็นแบบอนุกรมดังรูปที่ 12

Clock Generate (Baud rate Signal)
สำหรับส่วนสร้างสัญญาณความถี่ Baud rate จะใช้โมดูลย่อยที่เป็นโปรแกรมหารความถี่มาต่อกันดังรูปที่ 13 (clock_gen) เพื่อให้ได้ความถี่ที่ต้องการคือ 9600 Hz ดังนั้นจึงนำไปหารด้วย 208 ตามรูปที่ 13 ก็คือ 2 MHz / (2 x 2 x2 x26) นอกจากนี้ยังสร้างสัญญาณความถี่สำหรับการใช้งานต่างๆ ออกมาคือ ความถี่สัญญาณนาฬิกา dclk สำหรับส่งให้กับตัววัดอุณหภูมิ สัญญาณนาฬิกา loop_data สำหรับส่งไปควบคุมการส่งข้อมูลให้กับคอมพิวเตอร์ ซึ่งการเขียนโปรแกรมส่วนนี้จะใช้หลักการนับจำนวนของ Counter เพื่อลดความถี่ที่เข้ามา

รูปที่13 โมดูล Clock Gen ที่สร้างความถี่

รูปที่14 การเชื่อมต่อโมดูล ทั้งหมดใน Main

Floorplan and Programmer Editor
เมื่อสร้างโมดูลต่างๆ เรียบร้อยแล้ว ในขั้นตอนสุดท้ายจะเป็นการนำโมดูลหลักของส่วนต่างๆ มาต่อเข้าด้วยกันดังรูปที่ 14 ได้แก่ โมดูลของ Temperature ซึ่งเป็นส่วนวัดค่าอุณหภูมิและทำการส่งข้อมูลให้กับโมดูล Communication เพื่อสื่อสารกับคอมพิวเตอร์ โดยมีส่วนสร้างสัญญาณความถี่ต่างๆ ด้วยโมดูล clock_gen ก่อนที่จะทำการคอมไพล์โปรแกรมให้เราเลือกชิปที่จะใช้งานก่อนดังรูปที่ 15 โดยไปที่หน้าต่าง Graphic Edittor แล้วคลิกบนเมนูบาร์ไปที่ Assign -> Device จากนั้นจะปรากฏหน้าต่างของ Device ออกมาให้เราเลือกตระกูลของชิป FPGA ในช่อง Device Family แล้วจึงเลือกเบอร์ที่ใช้งานในช่อง Device อีกครั้งซึ่งในที่นี้จะใช้เบอร์ EPF10K10LC84-3 ตระกูล FLEX จากนั้นคลิก OK เมื่อทำการเลือกเบอร์ชิพเรียบร้อยแล้วต่อไปจะเป็นการกำหนดขาที่จะใช้งาน ซึ่งเราสามารถเลือกขาของชิปให้ทำงานสัมพันธ์กับสัญญาณต่างๆ ในที่เป็นส่วนทางเข้าออกของโปรแกรมที่เขียนเพื่อให้อยู่ในตำแหน่งที่เหมาะสมกับการวางอุปกรณ์ได้โดย คลิกเมนูบาร์ไปที่ MAX+PLUS II แล้วเลือก Floorplan Editor ดังรูปที่ 16 จากนั้นให้เลือกสัญญาณต่างๆ ไปวางไว้บนขาดังตารางที่ 2 เมื่อทำการคอมไพล์โปรแกรมแล้วโปรแกรม MAX+PLUS II จะสร้างไฟล์ main.sof สำหรับนำไปใช้ในการโหลดโปรแกรมลงชิพ
สำหรับการโปรแกรมนั้นให้ไปที่หน้าต่าง Programmer แล้วคลิกไปที่ JTAG -> Multi - Device JTAG Chain Setup… แล้วเลือกไฟล์ main.sof และคลิก OK หลังจากนั้นให้ทำการโหลดโปรแกรมโดยคลิกที่ปุ่ม Configure


รูปที่ 15 การเลือกชิป FPGA เบอร์ EPF10K10LC84-3

รูปที่ 16 การวางสัญญาณบนบนชิป FPGA

ตารางที่ 2 แสดงตำแหน่งขาบนบอร์ดวัดอุณหภูมิ

สัญญาณ
ตำแหน่ง
สัญญาณ
ตำแหน่ง
clk
1
ds_out3
49
rxd
16
ds_out4
50
txd
17
ds_out5
51
col_scan0
35
ds_out6
52
col_scan1
36
ds_out7
53
col_scan2
37
rst
70
col_scan3
38
dclk
71
ds_out0
39
dq_out
72
ds_out1
47
dq_in
73
ds_out2
48

การพล็อตกราฟบนคอมพิวเตอร์
เมื่อทำการโปรแกรมลงบนชิปของบอร์ดวัดอุณหภูมิเรียบร้อยแล้วบอร์ดวัดอุณหภูมิจะสามารถทำงานได้ทันทีโดยแสดงผลออกบนหน้าจอ 7-Segment ซึ่งหากต้องการนำค่าอุณหภูมิที่วัดได้เหล่านี้ไปพล็อตกราฟเทียบกับเวลาก็ให้นำสายต่อระหว่างบอร์ดกับคอมพิวเตอร์ทางพอร์ตอนุกรม จากนั้นให้เปิดโปรแกรม SeriesPort.exe ซึ่งเป็นโปรแกรมสำหรับใช้พล็อตกราฟอุณหภูมิโดยรับส่งข้อมูลทางพอร์ตอนุกรมซึ่งสามารถดาวน์โหลดได้จาก www.astronlogic.com จากรูปที่ 19 เป็นผลการพล็อตกราฟอุณหภูมิของหัวแร้งที่เริ่มเสียบปลั๊กและให้ความร้อนจนถึงประมาณ 90 องศาแล้วทำการถอดปลั๊กออก ซึ่งทำให้เราเห็นได้ถึงการเปลี่ยนแปลงของอุณหภูมิที่เวลาต่างๆ ได้

รูปที่ 17 แสดงการโหลดโปรแกรมลงชิป FPGA

รูปที่ 18 แสดงผลการพล็อตกราฟอุณหภูมิเทียบแกนเวลา

รูปที่ 19(ก) วงจรพิมพ์ด้านบน

รูปที่ 19(ข) แผ่นวงจรพิมพ์ด้านหลัง

รายการอุปกรณ์
ตัวต้านทานขนาด 1/4W +- 5%
R1,R2,R3,R4,R5,R6,R11,
R12,R13,R14,R16,R17 – 1K
R7,R8,R9,R10 – 300
ตัวเก็บประจ
C1 – 100uF 16V
C3,C4,C5,C6 – 0.1uF อิเล็กทรอไลต์
C2 – 0.1uF เซรามิก
อุปกรณ์สารกึ่งตัวนำ
LED – LED 3 mm สีแดง
D1 – 1N4001
IC1 – EPF10K10LC84-3
IC2 – DS1620
IC3 – MAX232CPE
IC4 – UA7805C
Q1,Q2,Q3,Q4 – C548B
อุปกรณ์ทำสาย RS232
คอนเน็กเตอร์ DB9 ตัวเมีย
คอนเน็กเตอร์ 3 ช่องตัวผู้
สายแพยาว 2 เมตร 3 เส้น
อุปกรณ์อื่นๆ
J1 – แจ็คไฟเลี้ยงตัวเมีย
J2 – คอนเน็กเตอร์ตัวผู้ 10 ขา
Socket PLCC 84 ขา
Socket DIP 8ขา
ขา Socket DIP 20
แผ่นวงจรพิมพ์ตามแบบ
หมายเหตุ EPF10K10LC84-3 สามารถสั่งซื้อได้ที่ บริษัท แอสทรอน ลอจิก รีเสิร์ชแอนด์ดิวิลอปเมนต์ www.astronlogic.com