CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

เกี่ยวกับความถี่

ใน MAX+plus ll สามารถกำหนดได้ตามต้องการไหมครับ เช่น หนูต้องการความถี่ 1 Hz จะต้องทำยังไงค่ะ

จากคุณ : อร ตั้งกระทู้นี้เมื่อ 18:04 [25/11/2005]

ความคิดเห็นที่1

ได้ครับ ทำได้โดยการใช้วงจรหารความถี่ครับ(วงจร counter นั้นหละ) ต้องการความถี่เท่าไรก็หารจาก oscillator เลย ครับ

จากคุณ : ไกรฤกษ์ 21:07 [26/11/2005]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป