CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ช่วยดูโปรแกรมนี้หน่อยคับ งงก็มันจิงๆ - -"

Library ieee;
use ieee.std_logic_1164.all;
entity char_to_int is
port (
Enable : in std_logic;
State : out std_logic);
end char_to_int;
architecture rtl of char_to_int is
signal int : integer;
begin
process (Enable)
variable char : character:='A';
begin
if (Enable='1') then
int<=character'pos(char);
State<='1';
end if;
end process;
end rtl;

function character'pos ใช้แปลงตัวอักษร character ให้เป็นข้อมูลแบบ integer ตามค่าของ ASCII
เขียนเสร็จแล้ว Compile ไม่ผ่านอะคับ ขึ้น Unsupported feature error
แต่ถ้าเปลี่ยนจาก character'pos(char) เป็น character'pos('A') ตรงๆเลย ก็คอมไพล์ผ่านได้ ทั้งๆที่จิงๆแล้วมันก็ไม่น่าจะต่างอะไรกัน ไหงเปงงี้อะคับ ไม่เข้าใจมันจิงๆ - -"

จากคุณ : Mikas ตั้งกระทู้นี้เมื่อ 17:02 [23/05/2004]

ความคิดเห็นที่1

เข้าใจว่า ตัวแปรแบบ CHAR เป็นตัวแปรที่ไม่สามารถ Synthesis เป็นวงจรได้ โปรแกรมมันเลยฟ้อง แต่พอมาใช้
character'pos('A') มันไม่ต้องประกาศตัวแปรแบบ CHAR ก็เลยคอมไพล์ผ่าน

จากคุณ : support@astronlogic.com 08:58 [25/05/2004]

ความคิดเห็นที่2

ตอนนี้ทำใด้หรือยังครับ
how to

จากคุณ : 13:32 [14/09/2004]

ความคิดเห็นที่3

ผมอยากได้คู่มือการใช้งานโปรแกรม maxplus 2 ไม่ทราบว่าทาง เว็บนี้มีมั้ยครับ ช่วยติดต่อด้วยนะครับทาง โทรศัพท์ หรือทาง email ก็ได้นะครับ..ขอบคุณครับ หรือว่าจะแนะนำโปรแกรมอื่นกับคู่มือ ที่น่าใช้กว่าโปรแกรม maxplus ก็ได้นะครับ been_dola@hotmail.com 097936760

จากคุณ : been 12:42 [11/08/2005]

ความคิดเห็นที่4

ไม่สามารถชื่อเป็นคำสงวนได้น่ะครับ คำว่า Enable ที่ entity เปลี่ยนเป็นคำอื่นสิครับลองดูน่ะครับ

จากคุณ : ยุ 01:40 [07/09/2005]

ความคิดเห็นที่5

ไม่สามารถชื่อเป็นคำสงวนได้น่ะครับ คำว่า Enable ที่ entity เปลี่ยนเป็นคำอื่นสิครับลองดูน่ะครับ

จากคุณ : ยุ 01:40 [07/09/2005]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป