CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

วงจรหารความถึ่ภายในตัว CPLD

ถ้าเกิดผมต้องการใช้ CPLD หารความถึ่โดยใช้คลิสตอลตัวเดียว หารหลายๆความถึแล้วใช้ Switch เลือกความถึ่ 1 - 100 HZ จะได้หรือเปล่าครับแล้วความถึ่ที่ได้จะตรงหรือเปล่าครับ

จากคุณ : tech ตั้งกระทู้นี้เมื่อ 15:23 [27/11/2003]

ความคิดเห็นที่1

สามารถทำได้ครับ สร้างเป็นวงจรหารความถี่แล้วใช้วงจร Multiplex เลือกความถี่ที่ต้องการออก output อีกทีครับ

จากคุณ : support@astronlogic.com 10:42 [01/12/2003]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป