CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

การรับข้อมูลมาตราฐานRS232เขียนยังไงครับ

คือต้องการรับข้อมูลเข้ามาโดยใช้มาตราฐานของ RS232 โดยที่ข้อมูลมีขนาดใหญ่ คือเราต้องเขียนแบบ finite state machines อย่างเดียวหรือครับ มีวิธีอื่นไหมครับ ต้องเขียนตัวแมมโมรีในการเก็บหรือป่าวครับ แล้วกำหนด บอดเรดยังไงครับ ขอบคุณครับ

จากคุณ : โอ๊ต ตั้งกระทู้นี้เมื่อ 03:25 [13/01/2002]

ความคิดเห็นที่1

เรื่องนี้กำลังศึกษาอยู่ครับ ถ้ามีข้อมูลครบเมือ่ไหร่จะเอามาเผ่ยแพร่ครับ

จากคุณ : support@astronlogic.com 15:01 [14/01/2002]

ความคิดเห็นที่2

การรับข้อมูลผ่านทาง serial port เข้ามาที่ FPGA chip ง่ายนิดเดียวครับ..ก่อนอื่นต้องรู้ว่าข้อมูลที่ส่งมาเป็นลักษณะอย่างไร เช่น startbit =0,data=8bit,stopbit=1 มีparity มัย เป็นต้น จากนั้นเราก็กำหนดความถี่ในการรับข้อมูลหรือ buadrate นั่นเอง ซึ่ง buadrate ทั้งสองฝั่งจะต้องเหมือนกันน่ะครับ...ที่พูดมาเป็นการรับอย่างเดียวไม่มีการ handchek น่ะครับ...หวังคงพอใช้ได้

จากคุณ : wtc 16:52 [22/02/2003]

ความคิดเห็นที่3

ผมลองทำมาแล้ว ใช้ shift reg ตัวนึง ละก็ส่วนของ control ก็ใช้ dff ทำเป็น state machine ออกแบบเป็น asm chart ก่อนจะง่าย เหมือนที่คุณ wtc ว่าอ่ะแหละ

จากคุณ : ก๋ำบี้ 06:33 [23/03/2003]

ความคิดเห็นที่4

หากท่านใดต้องการ code vhdl สือสารข้อมูลแบบอนุกรมให้ทิ้ง email ไว้นะครับ จะจัดส่ง file ไปให้ครับบ

จากคุณ : support@astronlogic.com 23:04 [24/03/2003]

ความคิดเห็นที่5

ผมต้องการ code vhdl สื่อสารข้อมูลแบบอนุกรมครับ
ขอบคุณครับ

จากคุณ : prayat pangkaso 22:37 [03/05/2003]

ความคิดเห็นที่6

ต้องการโค้ดครับส่งมาตามอีเมลล์นี่เลย

จากคุณ : wanchai 13:24 [22/05/2003]

ความคิดเห็นที่7

ส่งมาตามเมลล์นี่เลยต้องการมาก
ete30@hotmail.com
ขอบคุณครับ

จากคุณ : wanchai 13:32 [22/05/2003]

ความคิดเห็นที่8

ส่งไปให้แล้วนะครับ

จากคุณ : support@astronlogic.com 08:31 [23/05/2003]

ความคิดเห็นที่9

ขอด้วยครับ

จากคุณ : chand 20:47 [24/05/2003]

ความคิดเห็นที่10

ขอด้วยครับ
lufy@dbzmail.com

จากคุณ : chand 00:48 [28/05/2003]

ความคิดเห็นที่11

ผมขอ code ด้วยครับ ขอบคุณ

จากคุณ : ai 11:53 [28/05/2003]

ความคิดเห็นที่12

สมารถ Download ได้ที่หน้า Free-IP-Cores ครับ

จากคุณ : Support@astronlogic.com 16:52 [01/06/2003]

ความคิดเห็นที่13

ขอด้วยครับ

จากคุณ : tape 00:01 [02/06/2003]

ความคิดเห็นที่14

สามารถ dowbload ที่หน้า Free IP Core ได้แล้วครับ

จากคุณ : support@astronlogic.com 10:26 [02/06/2003]

ความคิดเห็นที่15

โอ ถามกันตั้งแต่ 2003 แล้ว....
ครับ... ผมเองก็ลองเขียนเหมือนกันแต่ว่า ที่ผม interface ระหว่าง PC จะใช้แค่
tx rx gnd นะครับ ก็คือไม่มี clock ในการ synchronize ในการรับ-ส่ง
ที่ผมใช้ก็คือ ใช้การจับสัญญาณ Rx
เมื่อระดับสัญญาณ ตกลงมาอยู่ระดับ 0
ก็ให้เริ่มการรับส่งได้ แต่ตอนนี้ยังติดปัญหาคือการ startup clock FPGA หลังจากที่ Rx เป็น 0 แล้ว ...บางครั้งค่าที่รับมาจึงผิดพลาด จึงไม่แน่ใจว่ามาจากส่วนนี้หรือเปล่า ..มี IDEA กันว่ายังไงบ้างครับ

จากคุณ : teksaiya 09:30 [15/01/2005]

ความคิดเห็นที่16

ขอด้วยครับ
จะเป็นพระคุณครับ

จากคุณ : bua 16:01 [13/01/2007]

ความคิดเห็นที่17

บทความเรื่องการรับส่งข้อมูล RS232 สามารถอ่านได้จาก Article Section ของ www.astronlogic.com ครับผม

จากคุณ : support@astronlogic.com 20:58 [24/01/2007]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป