CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

multiplier

คือผมกำลังทำโปรเจคอยู่ครับ ตอนนี้ติดอยู่ตรงโปรแกรมการคูณ ผมจะเอาค่าคงที่คูณกับ clk อย่างไรครับ แบบ 1*clk,2*clk,3*clk...15*clk
ช่วยหน่อยนะครับ ผมพยายามทำแล้วไม่ได้สักที

จากคุณ : กะดังงา ตั้งกระทู้นี้เมื่อ 12:55 [12/02/2003]

ความคิดเห็นที่1

CLK เป็นข้อมูลแบบไหนครับ ถ้า CLK เป็น std_logic และเป็น CLK ที่ใช้กับระบบจะคูณไม่ได้ครับ ข้อมูลที่จะเอามาคูณจะต้องเป็นแบบ integer ครับ

จากคุณ : support@astronlogic.com 01:29 [13/02/2003]

ความคิดเห็นที่2

หมายถึงการเพิ่มความถี่ของ clk หรือปล่าวเนี่ยที่บอกว่าคูณนะ

จากคุณ : :) 18:00 [20/02/2003]

ความคิดเห็นที่3

ถ้าคูณเลขปกติก็ต้อง Integer ครับ แล้วทำตามเงื่อนไขเลย เช่น 2*1 2*2 2*3
อะไรทำนองนี้ส่วนคูณความถี่ต้องดูทฤษฏีก่อนแล้วค่อยออกแบบโปรแกรม
ออกแบบให้เป็น Hardware ก่อนก็ได้ หรือรู้แนวคิวแล้วก็ทำได้เลย VHDL ไม่ยากอย่างที่คิด จริงไหม

จากคุณ : file_space 23:51 [20/02/2003]

ความคิดเห็นที่4

เราจะเพิ่มความถี่ clk ได้อย่างไรครับ โดยใช้ข้อมูลอินพุทเป็นตัว control แบบว่าอินพุทมีค่ามาก clk ก็จะมากตาม***ส่วนของอินพุท

จากคุณ : กะดังงา 09:02 [21/02/2003]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป