CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

clkdiv

ตัว clkdiv เป็นตัวหารความถี่ใช่ไหมครับ แล้วที่ output มี A,B,C,D หารด้วยเท่าไหร่ครับ

จากคุณ : satan ตั้งกระทู้นี้เมื่อ 09:13 [01/02/2003]

ความคิดเห็นที่1

ใช่คับใช้เป็นตัวหารได้ครับ ใน กรณีนี้เป็นเบอร์ 74393 นะคับ จะมีอินพุตclock เข้าที่ขา A1 เอาท์พุตก้อออกที่
Q1A Q1B Q1C Q1D คับ จากขาบนสุดคือ Q1A จะหารด้วย 2 ยกกำลัง 1 คับ
Q1B ก้อ 2 ยกกำลัง 2 คับ เรียงไปเรื่อยๆคับแล้วถ้าเอา เอาท์พุตQ1D ไปเป็นอินพุตที่ขาA2ที่Q2A ก้อจะหารด้วย 2 ยกกำลัง5 เรียงต่อไปอีกคับ
ตอบที่คุณถามนะคับที่ A จะหารด้วย 2 กำลัง 18 ที่B หารด้วย 2กำลัง20
C หารกำลัง22 Dก้อเป็น24
แล้วกอ้ที่ Q1A เป็นบิต LSB ที่ Q2D เป็น MSB คับ

จากคุณ : เรนเดียร์ 02:48 [03/02/2003]

ความคิดเห็นที่2

ขอบคุณครับคุณเรนเดียร์

จากคุณ : ซานต้า 23:22 [04/02/2003]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป