CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

clock 1 Hz

ถ้าเราจะป้อนสัญญาณ clock 1 Hz ให้กับ fpga จะทำอย่างไรครับ

จากคุณ : แด้ง ตั้งกระทู้นี้เมื่อ 19:23 [17/12/2002]

ความคิดเห็นที่1

ในกรณีเป็นบอร์ดทดลองของบริษัท๙ึ่งจะมีออส๙ิเลเตอร์ความถี่ 9.6 MHz ให้ออกแบบวงจรหาร 9.6 MHz ด้วย 9600000 ครับ

จากคุณ : support@astronlogic.com 20:25 [17/12/2002]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป