CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

Type Decraration

Please . Can you show example of Type Decration

Thanks

จากคุณ : Hang ตั้งกระทู้นี้เมื่อ 17:05 [17/05/2002]

ความคิดเห็นที่1

ตัวอย่างการใช้งานนะครับ

library ieee;
use ieee.std_logic_1164.all;

entity ALU is
port(


);
end ALU;

architecture RTL of ALU is
-- Type Declaration
type TDATA is array (3 downto 0) of integer range 0 to 9;

begin

counter_time:process(clk_time,program_run)
variable count_time : TDATA;
begin




end process counter_time;




end RTL;

จากคุณ : support@astronlogic.com 20:29 [19/05/2002]

ความคิดเห็นที่2

ช่วยอธิบายคร่าวๆด้วยก็ดีครับ

จากคุณ : Tee 06:40 [22/05/2002]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป